Chromium Code Reviews
chromiumcodereview-hr@appspot.gserviceaccount.com (chromiumcodereview-hr) | Please choose your nickname with Settings | Help | Chromium Project | Gerrit Changes | Sign out
(81)

Side by Side Diff: webrtc/modules/modules.gyp

Issue 1181933002: Pull the Voice Activity Detector out from the AGC (Closed) Base URL: https://chromium.googlesource.com/external/webrtc.git@master
Patch Set: Remove unused files from isolate Created 5 years, 6 months ago
Use n/p to move between diff chunks; N/P to move between comments. Draft comments are only viewable by you.
Jump to:
View unified diff | Download patch
OLDNEW
1 # Copyright (c) 2011 The WebRTC project authors. All Rights Reserved. 1 # Copyright (c) 2011 The WebRTC project authors. All Rights Reserved.
2 # 2 #
3 # Use of this source code is governed by a BSD-style license 3 # Use of this source code is governed by a BSD-style license
4 # that can be found in the LICENSE file in the root of the source 4 # that can be found in the LICENSE file in the root of the source
5 # tree. An additional intellectual property rights grant can be found 5 # tree. An additional intellectual property rights grant can be found
6 # in the file PATENTS. All contributing project authors may 6 # in the file PATENTS. All contributing project authors may
7 # be found in the AUTHORS file in the root of the source tree. 7 # be found in the AUTHORS file in the root of the source tree.
8 8
9 { 9 {
10 'includes': [ 10 'includes': [
(...skipping 142 matching lines...) Expand 10 before | Expand all | Expand 10 after
153 'audio_coding/neteq/mock/mock_expand.h', 153 'audio_coding/neteq/mock/mock_expand.h',
154 'audio_coding/neteq/mock/mock_external_decoder_pcm16b.h', 154 'audio_coding/neteq/mock/mock_external_decoder_pcm16b.h',
155 'audio_coding/neteq/mock/mock_packet_buffer.h', 155 'audio_coding/neteq/mock/mock_packet_buffer.h',
156 'audio_coding/neteq/mock/mock_payload_splitter.h', 156 'audio_coding/neteq/mock/mock_payload_splitter.h',
157 'audio_coding/neteq/tools/input_audio_file_unittest.cc', 157 'audio_coding/neteq/tools/input_audio_file_unittest.cc',
158 'audio_coding/neteq/tools/packet_unittest.cc', 158 'audio_coding/neteq/tools/packet_unittest.cc',
159 'audio_processing/aec/echo_cancellation_unittest.cc', 159 'audio_processing/aec/echo_cancellation_unittest.cc',
160 'audio_processing/aec/system_delay_unittest.cc', 160 'audio_processing/aec/system_delay_unittest.cc',
161 # TODO(ajm): Fix to match new interface. 161 # TODO(ajm): Fix to match new interface.
162 # 'audio_processing/agc/agc_unittest.cc', 162 # 'audio_processing/agc/agc_unittest.cc',
163 'audio_processing/agc/agc_audio_proc_unittest.cc',
164 'audio_processing/agc/circular_buffer_unittest.cc',
165 'audio_processing/agc/gmm_unittest.cc',
166 'audio_processing/agc/histogram_unittest.cc', 163 'audio_processing/agc/histogram_unittest.cc',
167 'audio_processing/agc/mock_agc.h', 164 'audio_processing/agc/mock_agc.h',
168 'audio_processing/agc/pitch_based_vad_unittest.cc',
169 'audio_processing/agc/pitch_internal_unittest.cc',
170 'audio_processing/agc/pole_zero_filter_unittest.cc',
171 'audio_processing/agc/standalone_vad_unittest.cc',
172 'audio_processing/beamformer/complex_matrix_unittest.cc', 165 'audio_processing/beamformer/complex_matrix_unittest.cc',
173 'audio_processing/beamformer/covariance_matrix_generator_unittest.cc ', 166 'audio_processing/beamformer/covariance_matrix_generator_unittest.cc ',
174 'audio_processing/beamformer/matrix_unittest.cc', 167 'audio_processing/beamformer/matrix_unittest.cc',
175 'audio_processing/beamformer/mock_nonlinear_beamformer.cc', 168 'audio_processing/beamformer/mock_nonlinear_beamformer.cc',
176 'audio_processing/beamformer/mock_nonlinear_beamformer.h', 169 'audio_processing/beamformer/mock_nonlinear_beamformer.h',
177 'audio_processing/echo_cancellation_impl_unittest.cc', 170 'audio_processing/echo_cancellation_impl_unittest.cc',
178 'audio_processing/splitting_filter_unittest.cc', 171 'audio_processing/splitting_filter_unittest.cc',
179 'audio_processing/transient/dyadic_decimator_unittest.cc', 172 'audio_processing/transient/dyadic_decimator_unittest.cc',
180 'audio_processing/transient/file_utils.cc', 173 'audio_processing/transient/file_utils.cc',
181 'audio_processing/transient/file_utils.h', 174 'audio_processing/transient/file_utils.h',
182 'audio_processing/transient/file_utils_unittest.cc', 175 'audio_processing/transient/file_utils_unittest.cc',
183 'audio_processing/transient/moving_moments_unittest.cc', 176 'audio_processing/transient/moving_moments_unittest.cc',
184 'audio_processing/transient/transient_detector_unittest.cc', 177 'audio_processing/transient/transient_detector_unittest.cc',
185 'audio_processing/transient/transient_suppressor_unittest.cc', 178 'audio_processing/transient/transient_suppressor_unittest.cc',
186 'audio_processing/transient/wpd_node_unittest.cc', 179 'audio_processing/transient/wpd_node_unittest.cc',
187 'audio_processing/transient/wpd_tree_unittest.cc', 180 'audio_processing/transient/wpd_tree_unittest.cc',
188 'audio_processing/utility/delay_estimator_unittest.cc', 181 'audio_processing/utility/delay_estimator_unittest.cc',
182 'audio_processing/vad/gmm_unittest.cc',
183 'audio_processing/vad/pitch_based_vad_unittest.cc',
184 'audio_processing/vad/pitch_internal_unittest.cc',
185 'audio_processing/vad/pole_zero_filter_unittest.cc',
186 'audio_processing/vad/standalone_vad_unittest.cc',
187 'audio_processing/vad/vad_audio_proc_unittest.cc',
188 'audio_processing/vad/vad_circular_buffer_unittest.cc',
189 'audio_processing/vad/voice_activity_detector_unittest.cc',
189 'bitrate_controller/bitrate_allocator_unittest.cc', 190 'bitrate_controller/bitrate_allocator_unittest.cc',
190 'bitrate_controller/bitrate_controller_unittest.cc', 191 'bitrate_controller/bitrate_controller_unittest.cc',
191 'bitrate_controller/send_side_bandwidth_estimation_unittest.cc', 192 'bitrate_controller/send_side_bandwidth_estimation_unittest.cc',
192 'bitrate_controller/send_time_history_unittest.cc', 193 'bitrate_controller/send_time_history_unittest.cc',
193 'desktop_capture/desktop_and_cursor_composer_unittest.cc', 194 'desktop_capture/desktop_and_cursor_composer_unittest.cc',
194 'desktop_capture/desktop_region_unittest.cc', 195 'desktop_capture/desktop_region_unittest.cc',
195 'desktop_capture/differ_block_unittest.cc', 196 'desktop_capture/differ_block_unittest.cc',
196 'desktop_capture/differ_unittest.cc', 197 'desktop_capture/differ_unittest.cc',
197 'desktop_capture/mouse_cursor_monitor_unittest.cc', 198 'desktop_capture/mouse_cursor_monitor_unittest.cc',
198 'desktop_capture/screen_capturer_helper_unittest.cc', 199 'desktop_capture/screen_capturer_helper_unittest.cc',
(...skipping 252 matching lines...) Expand 10 before | Expand all | Expand 10 after
451 'sources': [ 452 'sources': [
452 'modules_unittests.isolate', 453 'modules_unittests.isolate',
453 ], 454 ],
454 }, 455 },
455 ], 456 ],
456 }], 457 }],
457 ], 458 ],
458 }], # include_tests 459 }], # include_tests
459 ], # conditions 460 ], # conditions
460 } 461 }
OLDNEW
« no previous file with comments | « webrtc/modules/audio_processing/vad/voice_gmm_tables.h ('k') | webrtc/tools/agc/activity_metric.cc » ('j') | no next file with comments »

Powered by Google App Engine
This is Rietveld 408576698